Mobile QR Code QR CODE

References

1 
S. K. Gautam, S. K. Manhas, A. Kumar, M. Pakala and E. Yieh, "Row Hammering Mitigation Using Metal Nanowire in Saddle Fin DRAM," in IEEE Transactions on Electron Devices, vol. 66, no. 10, pp. 4170-4175, Oct. 2019, doi: 10.1109/TED.2019. 2931347.S. Ohmi et al., Ext. Abst. of AWAD2019, pp. 146-147 (2019).DOI
2 
Yang, Chia-Ming, et al. "Suppression of row hammer effect by doping profile modification in saddle-fin array devices for sub-30-nm DRAM technology." IEEE Transactions on Device and Materials Reliability 16.4 (2016): 685-687.DOI
3 
Yang, Thomas, and Xi-Wei Lin. "Trap-assisted DRAM row hammer effect." IEEE Electron Device Letters 40.3 (2019): 391-394.DOI
4 
Gautam, Satendra Kumar, Arvind Kumar, and Sanjeev Kumar Manhas. "Improvement of row hammering using metal nanoparticles in DRAM—A simulation study." IEEE Electron Device Letters 39.9 (2018): 1286-1289.DOI
5 
Van Der Veen, Victor, et al. "Drammer: Deterministic rowhammer attacks on mobile platforms." Proceedings of the 2016 ACM SIGSAC conference on computer and communications security. 2016.DOI
6 
Qiao, Rui, and Mark Seaborn. "A new approach for rowhammer attacks." 2016 IEEE international symposium on hardware oriented security and trust (HOST). IEEE, 2016.DOI
7 
Walker, Andrew J., Sungkwon Lee, and Dafna Beery. "On DRAM rowhammer and the physics of insecurity." IEEE Transactions on Electron Devices 68.4 (2021): 1400-1410.DOI
8 
L. Cojocar, K. Razavi, C. Giuffrida and H. Bos, "Exploiting Correcting Codes: On the Effectiveness of ECC Memory Against Rowhammer Attacks," 2019 IEEE Symposium on Security and Privacy (SP), San Francisco, CA, USA, 2019, pp. 55-71, doi: 10.1109/SP.2019.00089.DOI
9 
Kim, Yoongu, et al. "Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors." ACM SIGARCH Computer Architecture News 42.3 (2014): 361-372.DOI
10 
Seyedzadeh, Seyed Mohammad, Alex K. Jones, and Rami Melhem. "Mitigating wordline crosstalk using adaptive trees of counters." 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 2018.DOI
11 
Son, Mungyu, et al. "Making DRAM stronger against row hammering." Proceedings of the 54th Annual Design Automation Conference 2017. 2017.DOI
12 
Kim, Jeremie S., et al. "Revisiting rowhammer: An experimental analysis of modern dram devices and mitigation techniques." 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 2020.DOI
13 
Mutlu, Onur, and Jeremie S. Kim. "Rowhammer: A retrospective." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39.8 (2019): 1555-1571.DOI
14 
Aozasa, Hiroshi, Ichiro Fujiwara, and AkihiroNakamura Komatsu. "Analysis of carrier traps in Si3N4 in oxide/nitride/oxide for metal/ oxide/nitride/oxide/silicon nonvolatile memory." Japanese journal of applied physics 38.3R (1999): 1441.DOI